8 Top Innovations of 2017
2018-01-23 01:00:208 Top Innovations of 2017
Here are my informal, subjective nominations for the top innovations of 2017-Spoiler alert: It was a very good year!
Analog Seen as Fastest-Growing Chip Segment
Boosted by sales of automotive and power management ICs, the analog chip market segment is expected to growing fastest of all semiconductor product categories over the next five years, according to IC
As Rivals Tussle, Silicon Labs Soars
Silicon Labs is riding high. The company's CEO Tyson Tuttle, buttonholed at the Consumer Electronics Show, conceded that his company has benefited from turmoil among its rivals, who have been preoccup
Forecasters See Slowdown Ahead
The semiconductor industry will slow this year and may go flat in 2019, said analysts looking over their shoulder for signs of an economic downturn.
Broadcom Under Investigation by FTC
Chip maker says probe into alleged anti-competitive practices is immaterial to its business and won't affect Qualcomm takeover bid.
EUV Backlog Grows as ASML Sets Sales Record
CEO says preparation to insert next-generation EUV lithography into volume manufacturing
EUV, 7-nm Roadmaps Detailed
2018-01-23 01:00:13EUV, 7-nm Roadmaps Detailed
An analyst showed a detailed roadmap of process nodes using extreme ultraviolet lithography at Globalfoundries, Intel, Samsung, and TSMC.
Qualcomm-NXP Deal Cleared by Europe, South Korea
Fabless giant agrees to concessions around near-field communications and MIFARE contactless payment technology in exchange for approval of its $38 billion proposed acquisition.
DSP Start-up Snags Funding, Targets 5G
Paris-based VSORA says says its digital signal processor architecture and development platform target wireless mobile applications and boost computing power.
TSMC Sees HPC Driving Business
TSMC says that high-performance computing, including demand for chips used in cryptocurrency mining, has replaced smartphones as the key driver of its business.
U.S. Clears Chinese Firm's Acquisition of Fab Tool Vendor
Despite a tough stance on the acquisition of U.S. semiconductor companies by Chinese firms, government greenlights $15 million deal.
Toshiba Reportedly Mulls Memory Chip IPO as Backup Plan
If deal with Bain-led consortium isn't finalized by March 31, Toshiba may take its memory chip spinoff public.
Visual Processor IP Runs Deep Convolutional Nets in Real Time
Videantis launched its sixth-generation processor IP architecture, which adds deep learning capability to a solution that combines computer vision, image processing and video coding from a single unif
Everspin Targets Niches for MRAM
Company hits notable milestone of recording revenue for its first 40nm 256Mb STT-MRAM products in the fourth quarter of 2017, now ramping its volume production in 2018 with a focus on applications tha
TI CEO Templeton to Step Down
Brian Crutcher, currently the company's chief operating officer, to take the reins on June 1.
MIPS Is Back, With An Eye on AI
MIPS has returned to Santa Clara as an independent company, fresh with VC gold, and - it says - ready to hire.
Chipmakers Rally in Talent War
A semiconductor vet called for help on an initiative to reach out to STEM grads in a war for talent that chipmakers are losing to cloud-computing giants.
AI Silicon Preps for 2018 Debuts
A dozen startups are readying the first merchant chips to accelerate deep neural networks, but the underlying algorithms are still rapidly evolving.
Osram Morphs from Lightbulbs to Optoelectronics
Aldo Kamper's seven-year career as CEO of Osram Opto Semiconductors neatly overlaps the transformation of parent company Osram over the last decade. Basic semiconductor technologies- developed and man
Smart Glasses Not Ready to Wear
Augmented reality may be further away and 5G cellular not as big as you think, according to two talks on the emerging markets.
Intel Says Patches for Meltdown and Spectre are Flawed
Chip giant advises customers and ecosystem partners to stop installing patches to fix hardware vulnerabilities as testing begins on updated versions.
U.S. Angles to Retake Supercomputer Lead
IBM, Intel, and AMD supercomputing platforms aim to beat China to exabyte computing, obsoleting the Top500's distributed-memory version of the Linpack benchmarks in the process.
RISC-V Spins into Drives, AI
2017-11-28 13:00:04RISC-V Spins into Drives, AI
Western Digital and startup Esperanto tipped plans for storage and machine-learning chips using the open-source RISC-V architecture.
CEA-Leti Moves Fast on New CEO
CEA-Leti, one of the largest micro- and nanotechnologies research institutes in Europe, lost its head recently, but recovered by naming Emmanuel Sabonnadiere as CEO, succeeding Marie-Noelle Semeria. C
Fab Tool Sales Decline for 4th Straight Month
Billings among North American semiconductor tool makers declined sequentially in October for a fourth straight month.
South Korea Takes Bigger Slice of Mobile DRAM Market
Memory chip giants Samsung and SK Hynix increased their cumulative share of the mobile DRAM market to more than 85 percent in the third quarter while Micron Technology slipped.
Startup Demonstrates ReRAM Retention, Endurance
Weebit Nano has been able to achieve 10 years' data retention achieved across 300nm 4Kb memory array cells at above room temperature.
HPE Names Engineer to Succeed CEO Whitman
HPE, the server and comms half of the former Hewlett Packard, named computer engineer Antonio Neri to succeed Meg Whitman as CEO.
Samsung Likely to Unseat Intel as Top Chip Vendor
Samsung, which has nearly half of the global DRAM market, is likely to crush smaller rivals after more than doubling its plan for capital expenditures in its semiconductor unit for this year, accordin
MEMS Design Shrinks Speakers to Chip Scale
USound has developed microelectromechanical system (MEMS) chip-scale audio speakers, targeting in- and over-ear high-fidelity earbuds, smartphones, multidriver headphones, and other IoT wearables.
Analyst Warns on Semiconductor Stock Valuations
Chip stocks have surged in value over the past three years, but it might be time to sell before a downturn hits, according to Handel Jones of IBS.
Soitec Tunes Wafers for RF, Imagers, Photonics
In recent months, Soitec is talking up not just RF-SOI and FD-SOI, but Photonics-SOI, Imager-SOI and others. What are they, how are they engineered, and what are they for?
Startup Breathes Life into Bio IoT
Startup Nano Global partnered with ARM to design SoCs than can detect and scrub pathogens in a wide range of medical and IoT products
Analysts Applaud Marvell-Cavium Deal
Analysts generally praised the merger of Marvell and Cavium as diversifying into broader comms and networking markets but were wary of revenue and profit growth.
November 2017 Cartoon Caption Contest: "Document shredding 2.0"
Our eleventh cartoon caption contest for 2017 has come captivating possibilities. "Your caption here!"Our challenge to you is to c ...
Siemens Acquires Solido Design Automation
Deal provides first glimpse of what may be a more aggressive stance on M&A for Mentor Graphics under Siemens ownership.
Innovative simulator takes autonomous driving millimeter-wave radar development to new level
The AMMWR Simulator is a powerful tool that can accurately simulate the transmission and reception behavior of millimeter waves, taking development of autonomous driving sensing technology to a new le
Allen-Bradley Kinetix 5700 Servo Drive - Teardown
The Kinetix 5700 servo drive: designed with the flexibility to scale machines with large axis counts and high power requirements. Click here to view the full Teardown.
Marvell Buying Cavium for $6 Billion
Latest semiconductor industry blockbuster would result in firm with $3.4 billion in annual sales.
Women in Tech: 25 Profiles in Persistence
EE Times profiled 25 women in tech. Our goal is to trigger discussions in the workplace, and around the dinner table about women in engineering - not as numbers, but as real people, as colleagues and
iPhone 8 Still Packs Q'Comm, NXP
A teardown of the iPhone 8 by iFixit shows good news for Qualcomm, NXP, Broadcom, and Skyworks, who maintain or expand sockets in Apple's latest handset.
STMicro Augments Low-power MCU Family
STM32L4+ devices, part of STMicro's STM32 family, boast significantly higher performance at ultra-low power consumption.
10 Predictions for Robotics Tech in the Next 5 Years
We asked John Santagate, research director, Service Robotics, at market research firm IDC for his thoughts on the biggest trends that the robotics industry will see.
AI to Spur Uptick in ASIC Design Starts
With the surge in popularity of voice activated digital assistants like Amazon Echo and the general frenzy of activity around artificial intelligence, a growing number of ASICs will incorporate these
Beating IoT Big Data With Brain Emulation
Bosch Sensortec demonstrated its sophisticated three-tiered methodology for solving the real-time Big Data deluge with smart sensors that send summaries every few seconds to hubs, which in turn send t
Taiwan R&D Group Rolls AI for Fault Prediction
Taiwan's Industrial Technology Research Institute (ITRI), one of the world's largest high-tech research institutions, has introduced AI software that can predict breakdowns in production-line equipmen
iPhone X's TrueDepth Module Dissected
PARIS — Although experts in the imaging industry are aware of a complex “TrueDepth” module that Apple has devi ...
Intel Updates 5G, LTE Roadmaps
Intel disclosed a few details of its plans for advanced LTE and 5G baseband chips, aiming to close the gap with market leader Qualcomm.
Marvell's CEO Elected SIA Chair
Matt Murphy will chair the semiconductor industry's biggest trade group in 2018, while Micron Technology CEO Sanjay Mehrota serves as vice chair.