D2S tips model-based mask data prep tool

D2S tips model-based mask data prep tool

SAN FRANCISCO—Claiming an industry first, computational design tool vendor D2S Tuesday (Sept. 11) rolled out a model-based mask data preparation (MB-MDP) tool said to offer fully automated, full-chip mask data preparation for complex photomasks at 20-nm and below process nodes.

According to D2S (San Jose, Calif.), the TrueMask MDP e-beam solution handles complex masks with Manhattanized, curvilinear and ideal inverse lithography technology (ILT) shapes in practical, cost-effective write-times. TrueMask MDP reduces e-beam shot count to cut mask write time by 20 to 30 percent or more, while improving the quality of the wafer produced through built-in mask process correction, D2S said.

According to Aki Fujimura, CEO of D2S, existing rule-based mask data preparation tools are no longer sufficient to address the accuracy and write-time requirements of advanced masks at 20-nm and below.  Mask makers need to be able to conduct full-chip simulation and automated model calibration, which can take into account new mask writing techniques like dose modulation and overlapping shots that are needed to extend lithographic scaling, he said.

"Mask making is such a demanding art, where accuracy just cannot be compromised anywhere," Fujimura said. "We need to make sure the masks are accurate."

As a simulation-based tool, TrueMask MDP is able to take advantage of overlapping variable shaped beam (VSB) shots, each with its own dose modulation, to write the desired mask shape more accurately and with fewer shots, Fujimura said.  TrueMask MDP is built to run on the graphics processing unit-accelerated D2S Computational Design Platform providing a flow for simulating, preparing and verifying mask writer formats and instructions.  

At 20-nm-and-below process nodes, both the main features of photomasks and the sub-resolution assist features—which help preserve depth of focus and critical dimension uniformity for the main mask feature they support, but which do not print themselves—need to be increasingly complex in shape in order to ensure optimal patterning, D2S said. But the number of e-beam shots required to create these complex features has caused mask write-times—and mask costs—to skyrocket, the firm said.

"D2S TrueMask MDP is the first and the most promising product we’ve collaborated on that uses the new model-based mask data preparation approach to enable both accuracy and reasonable mask write times," said Naoya Hayashi, a research fellow at mask maker Dai Nippon Printing Co. Ltd., in a statement.

TrueMask MDP is available today for customer engagements, D2S said.  More information is available on D2S's website.



PreviousU.S. boosts funding for nanotech deployment
Next    CIA venture In-Q-Tel backs battery startup